Takuya Kojima

Profile page

Logo

Nakamura-Takase Laboratory, Graduate School of Information Science and Technology, The University of Tokyo

E-mail:

ORCID logo 0000-0002-5943-444X

researchmap

Hosted on GitHub Pages — Theme by orderedlist

研究業績

Japanese Ver. (-> English Ver.)

論文誌

  1. Aika Kamei , Hideharu Amano, Takuya Kojima, Daiki Yokoyama, Kimiyoshi Usami, Keizo Hiraga, Kenta Suzuki, Kazuhiro Bessho, “A Variation-Aware MTJ Store Energy Estimation Model for Edge Devices With Verify-and-Retryable Nonvolatile Flip-Flops”, IEEE Transactions on Very Large Scale Integration Systems (VLSI), Vol. 31, no. 4, pp.532-542, April 2023. DOI: 10.1109/TVLSI.2023.3237794 [IEEE Xplore]

  2. Takuya Kojima, Hayate Okuhara, Masaaki Kondo, Hideharu Amano, “A Scalable Body Bias Optimization Method Towards Low-Power CGRAs”, IEEE Micro, Vol. 43, no. 1, pp. 49-57, Jan.-Feb. 2023. DOI: 10.1109/MM.2022.3226739. [IEEE Xplore]

  3. Takuya Kojima, Ayaka Ohwada, Hideharu Amano, “Mapping-Aware Kernel Partitioning Method for CGRAs Assisted by Deep Learning”, IEEE Transactions on Parallel and Distributed Systems, Vol. 33, no. 5, pp.1213-1230, May 2022. DOI: 10.1109/TPDS.2021.3107746. [IEEE Xplore] (Telecom System Technology Student Award)

  4. Takeharu Ikezoe, Takuya Kojima, Hideharu Amano, “Recovering faulty Non-volatile Flip Flops for Coarse-Grained Reconfigurable Architectures”, IEICE Transactions on Electronics, Vol.E104-C, No.6, pp.215-225, Jun. 2021. DOI: 10.1587/transele.2020LHP0002.

  5. 小島拓也, 池添赳治, 天野英晴, “3次元積層SiPを用いたマルチコアシステムのためのサイクルアキュレートシミュレータCubeSimの開発”, 電子情報通信学会論文誌D, Vol.J104-D, No.04, pp.228-241, Apr. 2021. DOI: 10.14923/transinfj.2020PDP0046. [Paper]

  6. Takuya Kojima, Nguyen Anh Vu Doan, Hideharu Amano, “GenMap: A Genetic Algorithmic Approach for Optimizing Spatial Mapping of Coarse Grained Reconfigurable Architectures”, IEEE Transactions on Very Large Scale Integration Systems (VLSI), Vol. 28, no. 11, pp.2383-2396, Nov 2020. DOI: 10.1109/TVLSI.2020.3009225. [IEEE Xplore] [Tool available at Github]

  7. Takuya Kojima, and Hideharu Amano, “A Fine-Grained Multicasting of Configuration data for Coarse-Grained Reconfigurable Architectures”, IEICE Transactions on Information and Systems, Vol.E102-D,No.7,pp.1247-1256,Jul. 2019. DOI:10.1587/transinf.2018EDP7336. [Paper]

  8. Takuya Kojima, Naoki Ando, Hayate Okuhara, Ng. Anh Vu Doan and Hideharu Amano, “Optimization of Body Biasing for Variable Pipelined Coarse-Grained Reconfigurable Architectures”, IEICE Transactions on Information and Systems, Vol.E101-D, No.6, pp.1532-1540, Jun 2018. DOI: 10.1587/transinf.2017EDP7308. [Paper]

国際学会 (査読付き)

  1. Takuya Kojima, Yosuke Yanai, Hayate Okuhara, Hideharu Amano, Morihiro Kuga, Masahiro Iida, “SLMLET: A RISC-V Processor SoC with Tightly-Coupled Area-Efficient eFPGA Blocks”, COOLCHIPS27, Japan, April, 2024.

  2. Makoto Saito, Takuya Kojima, Hideki Takase, Hiroshi Nakamura, “A Data-Flow Visualization for CGRA Debugging”, ASPIRE 2024 HIROSHIMA (International workshop in conjunction with the IEICE General Conference), Hiroshima, Japan, Mar. 2024.

  3. Kaito Kutsuna, Takuya Kojima, Hideki Takase, Hiroshi Nakamura, “An Area-Efficient Coarse-Grained Reconfigurable Array Design for Approximate Computing”, 15th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-2023), Singapore, December, 2023.

  4. Makoto Saito, Takuya Kojima, Hideki Takase, Hiroshi Nakamura, ”ILP based Mapping for Elastic CGRAs”, The 29th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications(RTCSA 23), Niigata, Japan, Aug. 2023.

  5. Boma Adhi, Carlos Cortes, Emanuele Del Sozzo, Tomohiro Ueno, Yiyu Tan, Takuya Kojima, Artur Podobas, Kentaro Sano, “Less for More: Reducing Intra-CGRA Connectivity for Higher Performance and Efficiency in HPC”, 2023 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), Florida, USA, May 2023.

  6. Boma Adhi, Carlos Cortes, Tomohiro Ueno, Yiyu Tan, Takuya Kojima, Artur Podobas, Kentaro Sano, “Exploring Inter-tile connectivity for HPC-oriented CGRA with Lower Resource Usage”, 2022 International Conference on Field-Programmable Technology (FPT), Hong Kong, China, December, 2022.

  7. Boma Adhi, Carlos Cortes, Yiyu Tan, Takuya Kojima, Artur Podobas, Kentaro Sano, “The Cost of Flexibility: Embedded versus Discrete Routers in CGRAs for HPC”, 2022 IEEE International Conference on Cluster Computing (CLUSTER), Germany, Sep. 2022.

  8. Takuya Kojima, Boma Adhi, Carlos Cortes, Yiyu Tan, Kentaro Sano, “An Architecture-Independent CGRA Compiler enabling OpenMP Applications”, 2022 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), virtual, May 2022. [Paper] [Slide] [Tool available at Github]

  9. Boma Adhi, Carlos Cortes, Yiyu Tan, Takuya Kojima, Artur Podobas, Kentaro Sano, “Exploration Framework for Synthesizable CGRAs Targeting HPC: Initial Design and Evaluation”, 2022 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), virtual, May 2022.

  10. Takuya Kojima, Hayate Okuhara, Masaaki Kondo, Hideharu Amano, “Body Bias Control on a CGRA based on Convex Optimization”, COOLCHIPS25, Japan, April, 2022. [Paper] [Slide]

  11. Ayaka Ohwada, Takuya Kojima, Hideharu Amano, “An efficient compilation of coarse-grained reconfigurable architectures utilizing pre-optimized sub-graph mappings”, 30th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP 2022), virtual, Mar 2022.

  12. Boma Adhi, Carlos Cortes, Yiyu Tan, Takuya Kojima, Artur Podobas, Kentaro Sano, “RIKEN CGRA: Reconfigurable Data-Driven Architecture for Future HPC” (Poster), The International Conference on High Performance Computing in Asia-Pacific Region (HPC Asia 2022), Japan, January, 2022.

  13. Aika Kamei , Takuya Kojima, Hideharu Amano, Daiki Yokoyama, Hisato Miyauchi, Kimiyoshi Usami, Keizo Hiraga, Kenta Suzuki, Kazuhiro Bessho, “Energy Saving in a Multi-Context Coarse Grained Reconfigurable Array with Non-Volatile Flip-Flops “, 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-2021), Singapore, December, 2021. (Best Ppaper Award)

  14. Boma Adhi, Takuya Kojima, Yiyu Tan, Artur Podobas, Kentaro Sano, “RIKEN CGRA: Data-Driven Architecture as an Extension of Multicore CPU for Future HPC” (Research Poster), SC21: International Conference for High Performance Computing, Networking, Storage and Analysis, America, November, 2021.

  15. Ayaka Ohwada, Takuya Kojima, Hideharu Amano, “MENTAI: A Fully Automated CGRA Application Development Environment that Supports Hardware/Software Co-design”, Synthesis And System Integration of Mixed Information technologies (SASIMI2021), Japan, March, 2021.

  16. Ayaka Ohwada, Takuya Kojima, Hideharu Amano, “Compiler Framework for Spatial Mapping CGRA using LLVM”, COOLCHIPS23, Japan, April, 2020.

  17. Takeharu Ikezoe, Takuya Kojima, and Hideharu Amano, “A Coarse-Grained Reconfigurable Architecture with a Fault Tolerant Non-volatile Configurable Memory”, 2019 International Conference on Field-Programmable Technology (FPT),Tianjin, China, December, 2019.

  18. Hideto Kayashima , Takuya Kojima, Hayate Okuhara, Tsunaaki Shidei, Hideharu Amano, “Real Chip Performance Evaluation on Through Chip Interface IP for Renesas SOTB 65nm Process”, 7th International Symposium on Computing and Networking Workshops (CANDARW’19), Nagasaki, Japan, November, 2019.

  19. Ryohei Tomura, Takuya Kojima, and Hideharu Amano, “A Real chip evaluation of a CNN accelerator SNACC”, Synthesis And System Integration of Mixed Information technologies (SASIMI2019), Tainan, Taiwan, October, 2019.

  20. Sayaka Terashima, Takuya Kojima, Hayate Okuhara, Kazusa Musha, Hideharu Amano, Ryuichi Sakamoto, Masaaki Kondo and Mitaro Namiki, “A Preliminary Evaluation of Building Block Computing Systems”, 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-2019), Singapore, October, 2019. [Paper] [Slide]

  21. Takuya Kojima, Naoki Ando, Yusuke Matsushita and Hideharu Amano, “Demonstration of Low Power Stream Processing Using a Variable Pipelined CGRA”, 29th International Conference on Field Programmable Logic and Applications (FPL), Barcelona, Spain, September, 2019. (Demo Paper) [Paper] [Poster]

  22. Takuya Kojima and Hideharu Amano, “Refinements in Data Manipulation Method for Coarse Grained Reconfigurable Architectures”, 14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2019), York, United Kingdom , July, 2019. [Paper] [Slide]

  23. Hideto Kayashima, Takuya Kojima, Hayate Okuhara, Tsunaaki Shidei and Hideharu Amano, “Real Chip Performance Evaluation of Inductive Coupling TCI IP”, COOLCHIPS22, Japan, April, 2019.

  24. Takuya Kojima and Hideharu Amano, “A Configuration Data Multicasting Method for Coarse-Grained Reconfigurable Architectures”, 28th International Conference on Field Programmable Logic and Applications (FPL), Dublin, Ireland, August, 2018. [Paper] [Poster]

  25. Takuya Kojima, Naoki Ando, Yusuke Matsushita, Hayate Okuhara, Nguyen Anh Vu Doan and Hideharu Amano, “Real Chip Evaluation of a Low Power CGRA with Optimized Application Mapping”, International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies (HEART2018), Canada, June, 2018. [Paper] [Slide]

  26. Takeharu Ikezoe, Takuya Kojima, Hideharu Amano, Junya Akaike, Kimiyoshi Usami, Keizo Hiraga, Yusuke Shuto and Kojiro Yagami, “A micro-controller for MTJ-based Non-volatile Flip-flops for data verification”, COOLCHIPS21, Japan, April, 2018.

  27. Sayaka Terashima, Takuya Kojima, Hayate Okuhara, Yusuke Matsushita, Naoki Ando, Mitaro Namiki and Hideharu Amano, “A shared memory chip for twin-tower of chips”, Synthesis And System Integration of Mixed Information technologies(SASIMI2018), KUNIBIKI MESSE, March 2018.

  28. Takuya Kojima, Naoki Ando, Hayate Okuhara, Hideharu Amano, “Glitch-aware Variable Pipeline Optimization for CGRAs”, ReConFig 2017, Mexico, December 2017. [Paper] [Poster]

  29. Ryuichi Sakamoto, Ryo Takata, Jun Ishii, Masaaki Kondo, Hiroshi Nakamura, Tetsui Ohkubo, Takuya Kojima and Hideharu Amano, “Scalable Deep Neural Network Accelerator Cores with Cubic Integration using Through Chip Interface”, 2017 International SoC Design Conference (ISOCC 2017), Seoul, Korea, November, 2017.

  30. Ryuichi Sakamoto, Ryo Takata, Jun Ishii, Masaaki Kondo, Hiroshi Nakamura , Tetsui Ohkubo, Takuya Kojima and Hideharu Amano, “The Design and Implementation of Scalable Deep Neural Network Accelerator Cores”, MCSoC-17, Korea, September 2017.

  31. Takuya Kojima, Naoki Ando, Hayate Okuhara, Ng. Anh Vu Doan, Hideharu Amano, “Body Bias Optimization for Variable Pipelined CGRA”, 27th International Conference on Field-Programmable Logic and Applications(FPL), Belgium, September 2017. [Paper] [Poster]

  32. Takuya Kojima, Naoki Ando, Hayate Okuhara, Ng. Anh Vu Doan, Hideharu Amano, “Power Optimization for CGRA with Control of Variable Pipeline and Body Bias Voltage”, COOLCHIPS20, Japan, April 2017.

国内研究会

  1. 野崎 愛, 小島拓也, 中村 宏, 高瀬英希, “MLIRを用いたGPU向け準同型暗号コンパイラ”, ETNET 2024, 壱岐の島ホール, 長崎, 2024年3月.

  2. 齋藤 真, 小島拓也, 高瀬英希, 中村 宏, “Elastic CGRA向けのスケーラブルなマッピング手法”, VLD研究会, 沖縄県男女共同参画センター, 沖縄, 2024年2月.

  3. 小島 拓也, 矢内 洋祐, 奥原 颯, 天野 英晴, 久我 守弘, 飯田 全広, “FPGAとRISC-Vプロセッサを搭載したSoC向けHW/SW設計フローと実機評価”, RECONF研究会, 東京農工大学 小金井キャンパス, 東京, 2023年9月. [Paper] [Slide] (IEICE RECONF研究会 優秀講演賞)

  4. 齋藤 真, 小島拓也, 高瀬英希, 中村 宏, “CGRA動作検証のための可視化環境構築”, RECONF研究会, 東京農工大学 小金井キャンパス, 東京, 2023年9月.

  5. 矢内洋祐, 小島拓也, 奥原 颯, 天野英晴, 久我守弘, 飯田全広, “RISC-V MPUおよびSLM再構成ロジックを混載した「SLMLET」チップの電力評価”, SWoPP 2023, 函館アリーナ, 北海道, 2023年8月.

  6. 茅島秀人, 亀井愛佳, 小島拓也, 天野英晴, “誘導結合無線通信インタフェースにおけるバスアービトレーション方法の検討”, SWoPP 2023, 函館アリーナ, 北海道, 2023年8月.

  7. 齋藤 真, 小島拓也, 高瀬英希, 中村 宏, “Elastic CGRA向けマッピング手法の評価”, RECONF研究会, 高知工科大学永国寺キャンパス, 高知, 2023年6月.

  8. 小島 拓也, 亀井 愛佳, 矢内 洋祐, 天野 英晴, 久我 守弘, 飯田 全広, “Jupyter Notebookを介したRISC-V SoC向け実機テスト環境の構築”, ETNET 2023, 天城町防災センター, 鹿児島, 2023年3月. [Paper] [Slide] [Demo video] (IPSJ SLDM研究会 優秀発表賞)

  9. 沓名海斗, 小島拓也, 高瀬英希, 中村 宏, “近似演算器を用いたCGRAとアプリケーションマッピングの協調設計”, VLD研究会, 沖縄県青年会館, 沖縄, 2023年3月.

  10. Carlos Cortes, Boma Adhi, Tomohiro Ueno, Yiyu Tan, Takuya Kojima, Artur Podobas, Kentaro Sano, “Evaluation of reduced routing resources for HPC-Oriented CGRAs”, RECONF研究会, 慶應義塾大学日吉キャンパス, 神奈川, 2023年1月.

  11. 小島拓也, 齋藤 真, 中村 宏, “多様なCGRAを実現するDiplomacyを活用した設計手法の検討”, デザインガイア2022 -VLSI設計の新しい大地-, 金沢市文化ホール, 石川, 2022年11月. [Paper] [Slide]

  12. 小島拓也, “LLVMにおけるOpenMP GPUオフローディングの性能調査”, HotSPA 2022, 湯沢東映ホテル, 新潟, 2022年10月. [Paper] [Slide] (IEICE CPSY研究会 若手発表賞)

  13. 小島拓也, 國分海渡, 齋藤 真, 富田祐永, 前田志温, “PYNQ-Z2を用いたハイブリッド型学生実験の実現”, RECONF研究会, emCAMPUS STUDIO(豊橋), 愛知, 2022年9月.

  14. 矢内洋祐, 小島拓也, 奥原颯, 飯田全広, 天野英晴, “RISC-V MPおよびSLM再構成ロジックを混載した「SLMLET」チップの予備評価”, SWoPP 2022, 海峡メッセ下関、山口, 2022年7月. (IEICE CPSY研究会 若手発表賞.)

  15. 亀井愛佳, 天野英晴, 小島拓也, 横山大輝, 宮内陽里, 宇佐美公良, 平賀啓三, 鈴木健太, 別所和宏, “不揮発性FFを用いたCGRA設計探索のためのばらつきを考慮したMTJへの書き込みエネルギー推定モデルの提案”, ETNET 2022, オンライン開催, 2022年3月. (IPSJ SLDM研究会 優秀発表賞, IPSJ 山下記念研究賞, IEEE CEDA AJJC Academic Research Award)

  16. 中川雅人, 小島拓也, 高瀬英希, 中村 宏, “遺伝的アルゴリズムを用いたCGRA向けソフトウェアパイプラインスケジューリング手法”, ETNET 2022, オンライン開催, 2022年3月.

  17. 小島拓也, Carlos Cesar Cortes Torres, Boma Adhi, Yiyu Tan, 佐野健太郎, “HPC向けRIKEN CGRAのためのコンパイル環境整備と予備評価”, RECONF研究会, オンライン開催, 2022年1月. [Paper] [Slide]

  18. Boma Adhi, Carlos Cortes, Yiyu Tan, Takuya Kojima, Artur Podobas, Kentaro Sano, “Initial Design and Evaluation of RIKEN CGRA: Data-Driven Architecture for Future HPC”, RECONF研究会, オンライン開催, 2022年1月.

  19. 亀井愛佳, 小島拓也, 天野英晴, 横山大輝, 宮内陽里, 宇佐美公良, 平賀啓三, 鈴木健太, “不揮発性FFを用いたマルチコンテキストCGRA”, デザインガイア2021 -VLSI設計の新しい大地-, オンライン開催, 2021年12月. (IPSJ SLDM研究会 優秀発表賞)

  20. 小島拓也, 大和田彩夏, 天野英晴, “深層学習を用いたCGRAの効率的なアプリケーションマッピング手法”, SWoPP 2020, オンライン開催, 2020年7月. [Paper]

  21. 大和田彩夏, 小島拓也, 天野英晴, “CGRAアプリケーションのIPベース設計環境の提案”, SWoPP 2020, オンライン開催, 2020年7月.

  22. 小島拓也, 池添赳治, 天野英晴, “3次元積層型ヘテロジニアスプロセッサのためのシミュレータ開発とその応用”, ETNET 2020, 与論町中央公民館, 鹿児島, 2020年2月. [Paper][Slide]

  23. 大和田彩夏, 小島拓也, 天野英晴, “LLVMを用いたCGRA向けソフトウェア開発環境の構築と評価”, ETNET 2020, 与論町中央公民館, 鹿児島, 2020年2月. (IEICE CPSY研究会 若手発表賞)

  24. 小島拓也, 天野英晴, “CGRAのためのアプリケーションマッピングフレームワークGenMapの実装と実機評価”, デザインガイア2019 -VLSI設計の新しい大地-, 愛媛県男女共同参画センター, 愛媛, 2019年11月. [Paper][Poster](IEEE CEDA AJJC Design Gaia Best Poster Award)

  25. 茅島秀人, 小島拓也, 奥原 颯, 四手井綱章, 天野英晴, “チップ間誘導結合無線通信技術の実機評価”, デザインガイア2019 -VLSI設計の新しい大地-, 愛媛県男女共同参画センター, 愛媛, 2019年11月.

  26. 戸村遼平, 小島拓也, 天野英晴, 坂本龍一, 近藤正章, “CNNアクセラレータSNACCの実チップ評価”, デザインガイア2019 -VLSI設計の新しい大地-, 愛媛県男女共同参画センター, 愛媛, 2019年11月.

  27. 池添赳治, 小島拓也, 天野英晴 “不揮発性構成メモリを用いた耐故障性粗粒度再構成可能アーキテクチャ”, RECONF研究会, 北九州国際会議場, 福岡, 2019年9月.

  28. 小島拓也, 天野英晴, “粗粒度再構成可能アーキテクチャCMAにおけるメモリバンクアクセスの改良”, SWoPP2019, 北見市民会館, 北海道, 2019年7月. [Paper]

  29. 天野英晴, 茅島秀人, 小島拓也, 坂本龍一, 近藤正章, 並木美太郎, “ビルディングブロック型積層システムの性能評価”, SWoPP2019, 北見市民会館, 北海道, 2019年7月.

  30. 天野英晴, 茅島秀人, 四手井綱章, 小島拓也, “ルネサスSOTB65nm用Through Chip Interface IPの実機評価”, VLD研究会, 東京工業大学 大岡山キャンパス, 東京, 2019年5月.

  31. 小島拓也, 天野英晴, “3次元積層型CGRAのためのアプリケーション割り当て手法の検討”, 学生・若手研究会, ホテルアトールエメラルド宮古島, 沖縄, 2018年12月.

  32. 寺嶋爽花, 小島拓也, 武者千嵯, 奥原颯, 天野英晴, “ツインタワー型共有メモリチップを用いたCNNアプリケーションの高速化”, 学生・若手研究会, ホテルアトールエメラルド宮古島, 沖縄, 2018年12月.

  33. 茅島秀人, 小島拓也, 奥原颯, 天野英晴, “誘導結合ThruChip Interfaceの検証方式の実チップ実装”, CPSY研究会, サテライトキャンパスひろしま, 広島, 2018年12月.

  34. 小島拓也,安藤尚樹, 松下悠亮, 奥原 颯, Nguyen Anh Vu Doan, 天野英晴, “可変パイプラインを持つ低消費電力アクセラレータCCSOTB2によるストリーム処理”, 萌芽的コンピューターシステム研究会, 飛騨地域地場産業振興センター, 岐阜, 2018年11月. [Paper] [Poster] (IEICE CPSY研究会 若手発表賞)

  35. 小島拓也,安藤尚樹, 松下悠亮, 奥原 颯, Nguyen Anh Vu Doan, 天野英晴, “多目的遺伝的アルゴリズムを用いたCGRAマッピング最適化手法と実チップ評価”, RECONF研究会, LINE Fukuokaカフェスペース, 福岡, 2018年9月. [Paper]

  36. 小島拓也,安藤尚輝,天野英晴, “可変構造パイプラインを持つ粗粒度再構成アクセラレータCCSOTB2”, 第80回情報処理学会全国大会, 早稲田大学, 東京, 2018年3月. [Paper]

  37. 寺嶋爽花,小島拓也,奥原 颯,松下悠亮,安藤尚輝,並木美太郎,天野英晴, “ツインタワーのためのメモリチップ”, 第80回情報処理学会全国大会, 早稲田大学, 東京, 2018年3月.

  38. 松下悠亮,小島拓也,門本淳一郎,黒田忠広,天野英晴, マルチコア積層システムCube-2の実装と評価, 第80回情報処理学会全国大会, 早稲田大学, 東京, 2018年3月.

  39. 坂本龍一,高田 遼,石井 潤,近藤正章,中村 宏,大久保徹以,小島拓也,天野英晴 “TCIを用いた3次元積層型DNN向けアクセラレータSNACCの設計と評価”, 第80回情報処理学会全国大会, 早稲田大学, 東京, 2018年3月.

  40. 小島拓也,安藤尚輝,奥原 颯,天野 英晴,”グリッチを考慮したCGRAの可変パイプライン最適化”, デザインガイア2017 -VLSI設計の新しい大地-, くまもと県民交流館パレア, 熊本, 2017年11月. [Paper] [Poster]

  41. 安藤尚輝,小島拓也,天野英晴,”可変パイプラインCGRAの実チップ評価”, デザインガイア2017 -VLSI設計の新しい大地-, くまもと県民交流館パレア, 熊本, 2017年11月.

  42. 寺嶋爽花,小島拓也,奥原 颯,松下悠亮,安藤尚輝,並木美太郎,天野英晴,”ツインタワー用共有メモリチップの開発”, デザインガイア2017 -VLSI設計の新しい大地-, くまもと県民交流館パレア, 熊本, 2017年11月.

  43. 小島拓也, 安藤尚輝, 奥原颯, Anh Vu Doan, 天野英晴, “整数計画問題を用いたパイプライン型CGRAのボディバイアス電圧最適化”, HotSPA2017, 登別温泉第一滝本館, 北海道, 2017年5月. [Paper]

  44. 高田遼,石井潤,坂本龍一,近藤正章,中村宏,大久保徹以,小島拓也,天野英晴, “ディープニューラルネットワーク向けアクセラレータチップの設計と性能評価”, cross-disciplinary Workshop on Computing Systems, Infrastructures, and Programming(xSIG), 虎ノ門ヒルズフォーラム, 東京, 2017年4月.

  45. 小島拓也, 安藤尚輝, 松下悠亮, 奥原颯, 天野英晴, “パイプライン段数とボディバイアス電圧制御によるパイプライン型CGRAの電力削減手法の検討”, 具志川農村環境改善センター, 沖縄, 2017年3月. [Paper]

  46. 大久保徹以, 小島拓也, 天野英晴, 高田遼, 石井潤, 坂本龍一, 近藤正章, 中村宏, “無線3次元積層チップを用いたDeep Learningアクセラレータのコンパイラツールチェーン”, 具志川農村環境改善センター, 沖縄, 2017年3月.

その他の発表


プロフィールトップへ